Постоянное запоминающее устройство (ПЗУ). Постоянные запоминающие устройства Для чего служит пзу компьютера

Постоянное запоминающее устройство (ПЗУ) – ЗУ, предназначенное для хранения неизменяемой информации (программ, констант, табличных функций). В процессе решения задач ПЗУ допускает только чтение информации. В качестве характерного примера применения ПЗУ можно указать БИС ПЗУ, используемые в РС для хранения BIOS (Basic Input Output System – базовой системы ввода-вывода).

В общем случае накопитель ПЗУ (массив его запоминающих ячеек) емкостью ЕПЗУ слов, длиною в r + 1 разрядов каждое, обычно представляет собой систему из ЕПЗУ горизонтальных (адресных) и r + 1 вертикальных (разрядных) проводников, которые в точках пересечения могут быть соединены элементами связи (рис. 1.46). Элементы связи (ЭС) – это плавкие вставки или p -n -переходы. Наличие элемента связи между j -м горизонтальным и i -м вертикальным проводниками означает, что в i -м разряде ячейки памяти номер j записана единица, отсутствие ЭС означает, что здесь записан нуль. Запись слова в ячейку номер j ПЗУ производится должной расстановкой элементов связи между разрядными проводниками и адресным проводом номер j . Чтение слова из ячейки номер j ПЗУ происходит так.

Рис. 1.46. Накопитель ПЗУ емкостью ЕПЗУ слов, длиною в r + 1 разрядов каждое

Код адреса A = j дешифрируется, и на горизонтальный проводник номер j накопителя подается напряжение от источника питания. Те из разрядных проводников, которые соединены с выбранным адресным проводником элементами связи, оказываются под напряжением U 1 уровня единицы, остальные разрядные проводники остаются под напряжением U 0 уровня нуля. Совокупность сигналов U 0 и U 1 на разрядных проводниках и образует содержимое ЯП номер j , а именно слово по адресу А .

В настоящее время ПЗУ строят из БИС ПЗУ, у которых используются полупроводниковые ЭС. БИС ПЗУ принято делить на три класса:

– масочные (МПЗУ);

– программируемые (ППЗУ);

– репрограммируемые (РПЗУ).

Масочные ПЗУ (ROM – от Read Only Memory) – ПЗУ, информация в которые записывается с фотошаблона в процессе выращивания кристалла. Например, БИС ПЗУ 555РЕ4 емкостью 2 кбайта представляет собою генератор символов по коду КОИ-8. Достоинством масочных ПЗУ является их высокая надежность, а недостатком – низкая технологичность.

Программируемые ПЗУ (PROM – Programmable ROM) – ПЗУ, информация в которые записывается пользователем при помощи специальных устройств – программаторов. Данные БИС изготавливаются с полным набором ЭС во всех точках пересечения адресных и разрядных проводников. Это повышает технологичность таких БИС, а значит, и массовость в производстве и применении. Запись (программирование) информации в ППЗУ производится пользователем по месту их применения. Делается это путем выжигания элементов связи в тех точках, в которых должны быть записаны нули. Укажем, например, на ТТЛШ-БИС ППЗУ 556РТ5 емкостью 0,5 кбайт. Надежность БИС ППЗУ ниже, чем у масочных БИС. Перед программированием их необходимо тестировать на наличие ЭС.

В МПЗУ и ППЗУ невозможно изменять содержимое их ЯП. Репрограммируемые ПЗУ (РПЗУ) допускают многократную смену хранимой в них информации. Фактически РПЗУ – это ОЗУ, у которых t ЗП>>t ЧТ. Замена содержимого РПЗУ начинается со стирания хранившейся в нем информации. Выпускаются РПЗУ с электрическим (EЕPROM) и ультрафиолетовым (UVEPROM) стиранием информации. Например, БИС РПЗУ с электрическим стиранием КМ1609РР2А емкостью 8 кбайт может перепрограммироваться не менее 104 раз, хранит информацию не менее 15000 ч (около двух лет) во включенном состоянии и не менее 10 лет – в выключенном. БИС РПЗУ с ультрафиолетовым стиранием К573РФ4А емкостью 8 кбайт допускает не менее 25 циклов перезаписи, хранит информацию во включенном состоянии не менее 25000 ч, а в выключенном – не менее 100000 ч.

Основное назначение РПЗУ – использование их вместо ПЗУ в системах разработки и отладки программного обеспечения, микропроцессорных системах и других, когда приходится время от времени вносить изменения в программы.

Работу ПЗУ можно рассматривать как однозначное преобразование N -разрядного кода адреса А в n -разрядный код считываемого из него слова, т.е. ПЗУ является преобразователем кода (цифровым автоматом без памяти).

На рис. 1.47 показано ус­ловное изображение ПЗУ на схемах.

Рис. 1.47. Условное изображении ПЗУ

Функциональная схе­ма ПЗУ приведена на рис. 1.48.

Рис. 1.48. Функциональная схема ПЗУ

По принятой в среде специалистов по запоми­нающим устройствам терминологии входной код называется адресом, 2n вертикальных шин – числовыми линейками, m выходов – разрядами храни-мого слова. При поступлении на вход ПЗУ любого двоичного кода всегда выбирается одна из числовых линеек. При этом на выходе тех элементов ИЛИ, связь которых с данной чис­ловой линейкой не разрушена, появляется 1. Это значит, что в данном разряде выбранного слова (или числовой ли­нейки) записана 1. На выходах тех разрядов, связь кото­рых с выбранной числовой линейкой выжжена, останутся нули. Закон программирования может быть и инверсным.

Таким образом, ПЗУ – это функциональный узел с n входами и m выходами, хранящий 2n m -разрядных слов, которые при работе цифрового устройства не изменяются. При подаче на вход ПЗУ адреса на выходе появляется со­ответствующее ему слово. При логическом проектировании постоянное ЗУ рассматривают или как память с фиксиро­ванным набором слов, или как кодовый преобразователь.

На схемах (см. рис. 1.47) ПЗУ обозначается как ROM. Постоян­ные запоминающие устройства обычно имеют вход разре­шения Е. При активном уровне на входе Е ПЗУ выполняет свои функции. При отсутствии разрешения выходы микро­схемы неактивны. Разрешающих входов может быть не­сколько, тогда микросхема отпирается по совпадению сиг­налов на этих входах. В ПЗУ сигнал Е часто называют чте­нием ЧТ (read), выбором микросхемы ВМ, выбором кристалла ВК (chip select – CS).

Микросхемы ПЗУ приспособлены для наращивания. Чтобы увеличить число разрядов хранимых слов, все входы микросхем включают параллельно (рис. 1.49, а ), а с увеличившегося суммарного числа выходов снимается выход­ное слово соответственно увеличенной разрядности.

Для уве­личения числа самих хранимых слов (рис. 1.49, б ) адресные входы микросхем включают параллельно и рассматривают как младшие разряды нового, расширенного адреса. Добав­ленные старшие разряды нового адреса поступают на де­кодер, который по входам Е выбирает одну из микросхем. При малом числе микросхем дешифрацию старших разря­дов можно делать на конъюнкции разрешающих входов са­мих ПЗУ. Выходы одноименных разрядов при увеличении числа хранимых слов должны объединяться с помощью функций ИЛИ. Специальных элементов ИЛИ не требуется, если выходы микросхем ПЗУ выполнены или по схеме от­крытого коллектора для объединения методом монтажного ИЛИ, или по схеме буфера с тремя состояниями, допуска­ющего непосредственное физическое объединение выходов.

Выходы микросхем ПЗУ обычно инверсные, инверсным часто бывает и вход Е. Наращивание ПЗУ может потребовать введения буферных усилителей для увеличе­ния нагрузочной способности некоторых источников сигна­лов, учета вносимых этими усилителями дополнительных задержек, но в общем при сравнительно небольших объемах памяти, что типично для многих ЦУ (например устройств автоматики), наращива­ние ПЗУ обычно не порождает принципиальных проблем.

Рис. 1.49. Увеличение числа разрядов хранимых слов при параллельном включении входов микросхем и увеличении числа хранимых слов при включении параллельно адресных входов микросхем

В электронных устройствах одним из наиболее важных элементов, обеспечивающих работу всей системы считается память, которая делится на внутреннюю и внешнюю. Элементами внутренней памяти считают ОЗУ, ПЗУ и кеш процессора. Внешняя – это всевозможные накопители, которые подключаются к компьютеру из вне – жесткие диски, флешки, карты памяти и др.

Постоянное запоминающее устройство (ПЗУ) служит для хранения данных, изменение которых в процессе работы невозможно, оперативное запоминающее устройство (ОЗУ) для помещения в её ячейки информации от процессов, происходящих в текущий момент времени в системе, а кеш память используется для срочной обработки сигналов микропроцессором.

Что такое ПЗУ

ПЗУ или ROM (Read only memory – Только для чтения) – типичное устройство хранения неизменяемой информации, включенное в состав почти каждого компонента ПК и телефона и требующееся для запуска и работы всех элементов системы. Содержимое в ROM записано производителем аппаратного обеспечения и содержит директивы для предварительного тестирования и запуска устройства.

Свойствами ПЗУ являются независимость от питания, невозможность перезаписи и возможность хранить информацию длительные сроки. Информация, содержащаяся в ROM, вносится разработчиками однажды, и аппаратное обеспечение не допускает её стирания, хранится до окончания службы компьютера или телефона, или его поломки. Конструктивно ПЗУ защищены от повреждений при перепадах напряжения, поэтому нанести ущерб содержащейся информации могут только механические повреждения.

По архитектуре делятся на масочные и программируемые:

  • В масочных устройствах информация вносится с помощью типичного шаблона на финальном этапе изготовления. Содержащиеся данные не могут быть перезаписаны пользователем. Разделяющими компонентами выступают типичные pnp элементы транзисторов или диодов.
  • В программируемых ПЗУ (Programmable ROM) информация представлена в виде двумерной матрицы проводящих элементов, между которыми расположен pn переход полупроводникового элемента и металлическая перемычка. Программированием такой памяти происходит устранением или созданием перемычек посредством тока высокой амплитуды и продолжительности.

Основные функции

В блоки памяти ROM вносят информацию по управлению аппаратным обеспечением заданного устройства. ПЗУ включает в себя следующие подпрограммы:

  • Директиву старта и контроля за работой микропроцессора.
  • Программу проверяющую работоспособность и целостность всего аппаратного обеспечения, содержащегося в компьютере или телефоне.
  • Программу дающую начало работе системы и завершающее её.
  • Подпрограммы, управляющие периферийным оборудованием и модулями ввода/вывода.
  • Данные о адресе операционной системы на физическом накопителе.

Архитектура

Постоянные запоминающие устройства выполнены в виде двухмерного массива . Элементами массива являются наборы проводников, часть которых не затрагивается, прочие ячейки разрушаются. Проводящие элементы являются простейшими переключателями и формируют матрицу за счет поочередного соединения их к рядам и строкам.

Если проводник замкнут, он содержит логический ноль, разомкнут – логическую единицу. Таким образом в двухмерный массив физических элементов вносят данные в двоичном коде, которые считывает микропроцессор.

Разновидности

В зависимости от способа изготовления устройства ПЗУ делят на:

  • Обыкновенные , создаваемые фабричным способом. Данные в таком устройстве не изменяются.
  • Программируемые ПЗУ, допускающие изменение программы один раз.
  • Стираемое программируемое оборудование , позволяющее очищать данные с элементов и перезаписывать их, например, посредством ультрафиолета.
  • Электрически очищаемые перезаписываемые элементы, в которых допускается многократное изменение . Такой вид применяется в HDD, SSD, Flash и других накопителях. На такой же микросхеме записан BIOS на материнских платах.
  • Магнитные , в которых информация хранилась на намагниченных участках, чередующихся с не намагниченными. В них была возможна перезаписи.

Разница между RAM и ROM

Отличия между двумя видами аппаратного обеспечения, заключаются в её сохранности при отключении питания, скорости и возможности доступа к данным.

В оперативной памяти (Random access memory или RAM) информация содержится в последовательно расположенных ячейках к каждой из которых возможно получить доступ посредством программных интерфейсов . RAM содержит данные о выполняемых в текущий момент процессах в системе, таких как программы, игры, содержит значения переменных и списки данных в стеках и очередях. При отключении компьютера или телефона RAM память полностью очищается . По сравнению с ROM памятью она отличается большей скоростью доступа и потреблением энергии.

ROM память работает медленнее, и для своей работы потребляет меньше энергии. Главное отличие заключается в невозможности изменять входящие данные в ПЗУ, в то время как в ОЗУ информация меняется постоянно.

Очень часто в различных применениях требуется хранение информации, которая не изменяется в процессе эксплуатации устройства. Это такая информация как программы в микроконтроллерах, начальные загрузчики (BIOS) в компьютерах, таблицы коэффициентов цифровых фильтров в сигнальных процессорах, DDC и DUC, таблицы синусов и косинусов в NCO и DDS. Практически всегда эта информация не требуется одновременно, поэтому простейшие устройства для запоминания постоянной информации (ПЗУ) можно построить на мультиплексорах. Иногда в переводной литературе постоянные запоминающие устройства называются ROM (read only memory – память доступная только для чтения). Схема такого постоянного запоминающего устройства (ПЗУ) приведена на рисунке 3.1.

Рисунок 3.1. Схема постоянного запоминающего устройства (ПЗУ), построенная на мультиплексоре.

В этой схеме построено постоянное запоминающее устройство на восемь одноразрядных ячеек. Запоминание конкретного бита в одноразрядную ячейку производится запайкой провода к источнику питания (запись единицы) или запайкой провода к корпусу (запись нуля). На принципиальных схемах такое устройство обозначается как показано на рисунке 3.2.

Рисунок 3.2. Обозначение постоянного запоминающего устройства на принципиальных схемах.

Для того, чтобы увеличить разрядность ячейки памяти ПЗУ эти микросхемы можно соединять параллельно (выходы и записанная информация естественно остаются независимыми). Схема параллельного соединения одноразрядных ПЗУ приведена на рисунке 3.3.

Рисунок 3.3 Схема многоразрядного ПЗУ (ROM).

В реальных ПЗУ запись информации производится при помощи последней операции производства микросхемы - металлизации. Металлизация производится при помощи маски, поэтому такие ПЗУ получили название масочных ПЗУ. Еще одно отличие реальных микросхем от упрощенной модели, приведенной выше - это использование кроме мультиплексора еще и демультиплексора. Такое решение позволяет превратить одномерную запоминающую структуру в двухмерную и, тем самым, существенно сократить объем схемы дешифратора, необходимого для работы схемы ПЗУ. Эта ситуация иллюстрируется следующим рисунком:



Рисунок 3.4. Схема масочного постоянного запоминающего устройства (ROM).

Масочные ПЗУ изображаются на принципиальных схемах как показано на рисунке 3.5. Адреса ячеек памяти в этой микросхеме подаются на выводы A0 ... A9. Микросхема выбирается сигналом CS. При помощи этого сигнала можно наращивать объем ПЗУ (пример использования сигнала CS приведён при обсуждении ОЗУ). Чтение микросхемы производится сигналом RD.

Рисунок 3.5. Условно-графическое обозначение масочного ПЗУ (ROM) на принципиальных схемах.

Программирование масочного ПЗУ производится на заводе изготовителе, что очень неудобно для мелких и средних серий производства, не говоря уже о стадии разработки устройства. Естественно, что для крупносерийного производства масочные ПЗУ являются самым дешевым видом ПЗУ, и поэтому широко применяются в настоящее время. Для мелких и средних серий производства радиоаппаратуры были разработаны микросхемы, которые можно программировать в специальных устройствах - программаторах. В этих ПЗУ постоянное соединение проводников в запоминающей матрице заменяется плавкими перемычками, изготовленными из поликристаллического кремния. При производстве ПЗУ изготавливаются все перемычки, что эквивалентно записи во все ячейки памяти ПЗУ логических единиц. В процессе программирования ПЗУ на выводы питания и выходы микросхемы подаётся повышенное питание. При этом, если на выход ПЗУ подаётся напряжение питания (логическая единица), то через перемычку ток протекать не будет и перемычка останется неповрежденной. Если же на выход ПЗУ подать низкий уровень напряжения (присоединить к корпусу), то через перемычку запоминающей матрицы будет протекать ток, который испарит ее и при последующем считывании информации из этой ячейки ПЗУ будет считываться логический ноль.

Такие микросхемы называются программируемыми ПЗУ (ППЗУ) или PROM и изображаются на принципиальных схемах как показано на рисунке3.6. В качестве примера ППЗУ можно назвать микросхемы 155РЕ3, 556РТ4, 556РТ8 и другие.

Рисунок 3.6. Условно-графическое обозначение программируемого постоянного запоминающего устройства (PROM) на принципиальных схемах.

Программируемые ПЗУ оказались очень удобны при мелкосерийном и среднесерийном производстве. Однако при разработке радиоэлектронных устройств часто приходится менять записываемую в ПЗУ программу. ППЗУ при этом невозможно использовать повторно, поэтому раз записанное ПЗУ при ошибочной или промежуточной программе приходится выкидывать, что естественно повышает стоимость разработки аппаратуры. Для устранения этого недостатка был разработан еще один вид ПЗУ, который мог бы стираться и программироваться заново.

ПЗУ с ультрафиолетовым стиранием строится на основе запоминающей матрицы построенной на ячейках памяти, внутреннее устройство которой приведено на следующем рисунке:

Рисунок 3.7. Запоминающая ячейка ПЗУ с ультрафиолетовым и электрическим стиранием.

Ячейка представляет собой МОП транзистор, в котором затвор выполняется из поликристаллического кремния. Затем в процессе изготовления микросхемы этот затвор окисляется и в результате он будет окружен оксидом кремния - диэлектриком с прекрасными изолирующими свойствами. В описанной ячейке при полностью стертом ПЗУ, заряда в плавающем затворе нет, и поэтому транзистор ток не проводит. При программировании ПЗУ, на второй затвор, находящийся над плавающим затвором, подаётся высокое напряжение и в плавающий затвор за счет туннельного эффекта индуцируются заряды. После снятия программирующего напряжения индуцированный заряд остаётся на плавающем затворе, и, следовательно, транзистор остаётся в проводящем состоянии. Заряд на плавающем затворе подобной ячейки может храниться десятки лет.

Структурная схема описанного постоянного запоминающего устройства не отличается от описанного ранее масочного ПЗУ. Единственное отличие – вместо плавкой перемычки используется описанная выше ячейка. Такой вид ПЗУ называется репрограммируемыми постоянными запоминающими устройствами (РПЗУ) или EPROM. В РПЗУ стирание ранее записанной информации осуществляется ультрафиолетовым излучением. Для того, чтобы этот свет мог беспрепятственно проходить к полупроводниковому кристаллу, в корпус микросхемы ПЗУ встраивается окошко из кварцевого стекла.

При облучении микросхемы РПЗУ, изолирующие свойства оксида кремния теряются, накопленный заряд из плавающего затвора стекает в объем полупроводника, и транзистор запоминающей ячейки переходит в закрытое состояние. Время стирания микросхемы РПЗУ колеблется в пределах 10 - 30 минут.

Количество циклов записи – стирания микросхем EPROM находится в диапазоне от 10 до 100 раз, после чего микросхема РПЗУ выходит из строя. Это связано с разрушающим воздействием ультрафиолетового излучения на оксид кремния. В качестве примера микросхем EPROM можно назвать микросхемы 573 серии российского производства, микросхемы серий 27сXXX зарубежного производства. В РПЗУ чаще всего хранятся программы BIOS универсальных компьютеров. РПЗУ изображаются на принципиальных схемах как показано на рисунке 3.8.

Рисунок 3.8. Условно-графическое обозначение РПЗУ (EPROM) на принципиальных схемах.

Так как корпуса с кварцевым окошком очень дороги, а также малое количество циклов записи - стирания привели к поиску способов стирания информации из РПЗУ электрическим способом. На этом пути встретилось много трудностей, которые к настоящему времени практически решены. Сейчас достаточно широко распространены микросхемы с электрическим стиранием информации. В качестве запоминающей ячейки в них используются такие же ячейки как и в РПЗУ, но они стираются электрическим потенциалом, поэтому количество циклов записи - стирания для этих микросхем достигает 1000000 раз. Время стирания ячейки памяти в таких ПЗУ уменьшается до 10 мс. Схема управления для электрически стираемых программируемых ПЗУ получилась сложная, поэтому наметилось два направления развития этих микросхем:

1. ЕСППЗУ (EEPROM) - электрически стираемое программируемое постоянное запоминающее устройство

Электрически стираемые ППЗУ (EEPROM) дороже и меньше по объему, но зато позволяют перезаписывать каждую ячейку памяти отдельно. В результате эти микросхемы обладают максимальным количеством циклов записи - стирания. Область применения электрически стираемых ПЗУ - хранение данных, которые не должны стираться при выключении питания. К таким микросхемам относятся отечественные микросхемы 573РР3, 558РР3 и зарубежные микросхемы EEPROM серии 28cXX. Электрически стираемые ПЗУ обозначаются на принципиальных схемах как показано на рисунке 3.9.

Рисунок 9. Условно-графическое обозначение электрически стираемого постоянного запоминающего устройства (EEPROM) на принципиальных схемах.

В последнее время наметилась тенденция уменьшения габаритов ЭСППЗУ за счет уменьшения количества внешних выводов микросхем. Для этого адрес и данные передаются в микросхему и из микросхемы через последовательный порт. При этом используются два вида последовательных портов - SPI порт и I2C порт (микросхемы 93сXX и 24cXX серий соответственно). Зарубежной серии 24cXX соответствует отечественная серия микросхем 558РРX.

FLASH - ПЗУ отличаются от ЭСППЗУ тем, что стирание производится не каждой ячейки отдельно, а всей микросхемы в целом или блока запоминающей матрицы этой микросхемы, как это делалось в РПЗУ.

Рисунок 3.10. Условно-графическое обозначение FLASH памяти на принципиальных схемах.

При обращении к постоянному запоминающему устройству сначала необходимо выставить адрес ячейки памяти на шине адреса, а затем произвести операцию чтения из микросхемы. Эта временная диаграмма приведена на рисунке 3.11.


Рисунок 3.11. Временные диаграммы сигналов чтения информации из ПЗУ.

На рисунке 3.11 стрелочками показана последовательность, в которой должны формироваться управляющие сигналы. На этом рисунке RD - это сигнал чтения, A - сигналы выбора адреса ячейки (так как отдельные биты в шине адреса могут принимать разные значения, то показаны пути перехода как в единичное, так и в нулевое состояние), D - выходная информация, считанная из выбранной ячейки ПЗУ.

4. Выполните операцию сложения в дополнительном коде, представив приведенные слагаемые в двоичном виде:

1) + 45 2) - 45

- 20 + 20

Решение:

1) х 1 = 45 = 0,101101 пр

х 2 = - 20 = 1,010100 пр = 1,101011 обр = 1,101100 доп

+ 1,101100

Ответ: 0,011001 пр = 25 10

2) х 1 = - 45 =1,101101 пр

х 2 = 20 = 0,010100 пр

+ 0,010100

Ответ: 1,100111 доп = 1,011000 обр = 1,011001 пр = - 25 10

Вопрос № 5.

Выполните следующие задания:

1) запишите логическую функцию в СНДФ;

2) минимизируйте логическую функцию с помощью карт Карно;

Постоянная память, или постоянное запоминающее устройство (ПЗУ или ROM, англ.) Служит для хранения программ начальной загрузки компьютера и тестирования его узлов. Используется только для чтения. Она энергонезависима, то есть записанная в ней информация не изменяется после выключения компьютера.

· По виду доступа:

· С параллельным доступом (parallel mode или random access): такое ПЗУ может быть доступно в системе в адресном пространстве ОЗУ. Например, К573РФ5;

· С последовательным доступом: такие ПЗУ часто используются для однократной загрузки констант или прошивки в процессор или ПЛИС, используются для хранения настроек каналов телевизора, и др. Например, 93С46, AT17LV512A.

· По способу программирования микросхем (записи в них прошивки):

· Непрограммируемые ПЗУ;

· ПЗУ, программируемые только с помощью специального устройства - программатора ПЗУ (как однократно, так и многократно прошиваемые). Использование программатора необходимо, в частности, для подачи нестандартных и относительно высоких напряжений (до +/- 27 В) на специальные выводы.

· Внутрисхемно (пере)программируемые ПЗУ (ISP, in-system programming) - такие микросхемы имеют внутри генератор всех необходимых высоких напряжений, и могут быть перепрошиты без программатора и даже без выпайки из печатной платы, программным способом.

В постоянную память часто записывают микропрограмму управления техническим устройством: телевизором, сотовым телефоном, различнымиконтроллерами, или компьютером (BIOS или OpenBoot на машинах SPARC).

Назначение и характеристика ОЗУ.

Оперативная память, или оперативное запоминающее устройство (ОЗУ или RAM, англ.) Она предназначена для хранения информации, изменяющейся в ходе выполнения процессором операций по ее обработке. Используется как для чтения, так и для записи информации. Энергозависима, то есть вся информация хранится в этой памяти только тогда, когда компьютер включен.

Физически для построения запоминающего устройства типа RАМ используют микросхемы динамической и статической памяти, для которых сохранение бита информации означает сохранение электрического заряда (именно этим объясняется энергозависимость всей оперативной памяти, то есть потеря при выключении компьютера всей информации, хранимой в ней).

Оперативная память компьютера физически выполняется на элементах динамической RАМ, а для согласования работы сравнительно медленных устройств (в нашем случае динамической RАМ) со сравнительно быстрым микропроцессором используют функционально для этого предназначенную кэш-память, построенную из ячеек статической RАМ. Таким образом, в компьютерах присутствуют одновременно оба вида RАМ. Физически внешняя кэш-память также реализуется в виде микросхем на платах, которые вставляются в соответствующие слоты на материнской плате.

Основные элементы ПК.

Конструктивно ПК выполнены в виде центрального системного блока, к которому через разъемы - стыки подключаются внешние устройства: дополнительные блоки памяти, клавиатура, дисплей, принтер и др.

Системный блок обычно включает в себя системную плату, блок питания, накопители на дисках, разъемы для дополнительных устройств и платы расширения с контроллерами - адаптерами внешних устройств.

Основные положения.

Память в микропроцессорной системе выполняет функцию хранения данных. Различные типы памяти предназначены для хранения различных типов данных. Подробнее это будет рассмотрено ниже.

Информация в памяти хранится в ячейках, количество разрядов которых равно количеству разрядов шины данных процессора. Обычно оно кратно восьми. Это связано с тем, что байт является восьмиразрядной единицей измерения. Поэтому объём памяти чаще всего измеряется в байтах независимо от разрядности ячейки памяти.

Допустимое количество ячеек памяти определяется количеством разрядов шины адреса как 2N, где N - количество разрядов шины адреса.

Используются также следующие более крупные единицы объема памяти: килобайт - 210=1024 байта (обозначается Кбайт), мегабайт – 220=1 048 576 байт (обозначается Мбайт), гигабайт - 230 байт (обозначается Гбайт), терабайт - 240 (обозначается Тбайт). Например, если память имеет 65 536 ячеек, каждая из которых 16-разрядная, то говорят, что память имеет объем 128 Кбайт. Совокупность ячеек памяти называется обычно пространством памятисистемы.

Для подключения модуля памяти к системной магистрали используются блоки сопряжения, которые включают в себя дешифратор (селектор) адреса, схему обработки управляющих сигналов магистрали и буферы данных (рис. 8.1). Для подключения модуля памяти к системной магистрали используются блоки сопряжения, которые включают в себя дешифратор (селектор) адреса, схему обработки управляющих сигналов магистрали и буферы данных (рис. 2.18).

Обычно в составе системы имеется несколько модулей памяти, каждый из которых работает в своей области пространства памяти. Селектор адреса как раз и определяет, какая область адресов пространства памяти отведена данному модулю памяти. Схема управления вырабатывает в нужные моменты сигналы разрешения работы памяти (CS – Chip Select) и сигналы разрешения записи в память (WR — Write-Read). Буферы данных передают данные от памяти к магистрали или от магистрали к памяти. В пространстве памяти микропроцессорной системы обычно выделяются несколько особых областей, которые выполняют специальные функции.

Классификация модулей памяти.

Классификация памяти необходима для более чёткого понимания того, для чего та или иная память будет использоваться.

Прежде всего, память делится на две основные подгруппы: постоянное запоминающее устройство (ПЗУ) и оперативное запоминающее устройство (ОЗУ).

Постоянное запоминающее устройство (ПЗУ).

Постоянным запоминающим устройством называют энергонезависимую память, т.е. память, не зависящую от наличия напряжения питания на устройстве. В таком устройстве информация может храниться длительное время без подключения его к источнику питания.

Данный тип памяти предназначен для хранения информации, которая не должна быть уничтожена при пропадании питания на устройстве. К таким данным можно отнести программу для микроконтроллера, данные о настройке этой программы, различные файлы. К файлам могут относиться графические изображения, данные, снятые с датчиков и т.д.

Существует множество различных реализаций ПЗУ. В микроконтроллерах наибольшую популярность получили две технологии. Это – EEPROM (Electronically Erasable Programmable ROM – электрически стираемая программируемая энергонезависимая память) и flash (Flash Erase EEPROM).

EEPROM была разработана в 1979 году фирмой Intel. Эта память имеет возможность перепрограммирования при подключении её к стандартной шине процессора. Причём стирание любой ячейки памяти происходит автоматически при записи в неё новых данных. Т.о. в этом типе памяти существует возможность изменить информацию в одной ячейке без затрагивания соседних ячеек.

Flash память является дальнейшим развитием EEPROM. В ней используется несколько отличный от EEPROM тип ячейки-транзистора. И другая организация доступа к ячейкам памяти. В результате чего доступ к ячейкам стал быстрее. Но стирание в flash памяти производится только для определённого блока данных, либо для всей микросхемы в целом. Стереть один элемент в ней невозможно. А так как запись в этом типе микросхемы (для типа памяти NAND) производится поэлементным «И» текущего состояния ячейки с данными которые надо записать, то верные данные будут записаны в ячейку только в том случае, если в ней будут записаны только одни единицы. Установить в ячейке единицу можно только функцией стирания. Никакой записью данных этого сделать нельзя. Следовательно, для того, чтобы записать данные в одну ячейку памяти, надо скопировать в стороннюю память весь блок, который будет стёрт, стереть его. В памяти поменять значение нужной ячейки и уже изменённый блок записать обратно.

Как можно видеть работа с отдельными ячейками данных медленная из-за необходимости каждый раз копировать и стирать целый блок данных. Но работа сразу со всем блоком на много быстрее чем в EEPROM.

Т.о. во Flash имеет смысл хранить информацию, которая будет изменяться редко (или никогда). А в EEPROM можно записывать настройки программы, которые должны сохраниться после отключения устройства от питания.

Flash память бывает двух типов – это NOR и NAND. NOR (Not OR) имеет быстрый произвольный доступ к ячейкам памяти и возможность побайтовой записи. NAND (Not AND) позволяет производить быструю запись и стирание данных, но имеет несколько большее время произвольного доступа к данным по сравнению с NOR.

Исходя из особенностей структур памяти, NAND обычно используется для хранения информации, считываемой потоком, такой как видео, музыка и т.д. NOR же используется для хранения программы, благодаря высокой скорости чтения произвольного байта данных.

ПЗУ имеет относительно низкое быстродействие и не может быть использован для хранения информации, к которой нужен быстрый доступ, такой как переменные.

Память программы начального запускавсегда выполняется на ПЗУ. Именно с этой области процессор начинает работу после включения питания и после сброса его с помощью сигнала RESET. При наличии у микроконтроллера нескольких типов ПЗУ, зачастую существует выбор с какой из них стартовать программу. Для этого наружу выводится несколько ножек, комбинация сигналов на которых идентифицирует ту или иную ПЗУ.

Адресация в NAND.

Для примера работы с ПЗУ рассмотрим организацию памяти и обращение к ней на примере микросхемы памяти NAND.

Структура памяти NAND представлена на рис 8.2.

Память в микросхеме делится на блоки, которые в свою очередь делятся на страницы, состоящие из байт. Т.о. для полной адресации байта памяти требуется знать номер блока, номер страницы и сам адрес байта в этой странице.

Общая ёмкость памяти в этом случае равна произведению ёмкости страницы на количество страниц в блоке и на количество блоков в микросхеме памяти. Если у нас, как показано на рис 8.2, микросхема состоит из 2000 блоков, содержащих 128 страниц каждый. В странице содержится 8192 байта памяти. В итоге получаем: 8192*128*2000 = 2 Гбайта памяти. Обычно размер памяти указывают в битах. Т.е. размер рассматриваемой микросхемы составляет 16Гбит, что и будет указано у неё в документации.

Соответственно, для получения одного байта информации на выводе R/W, отвечающем за чтение запись, устанавливается сигнал, говорящий, что будет чтение. Отправляется команда запроса на чтение байта данных. Затем формируется пакет вида, как показано на рис 8.3.

В этом пакете А13-А0 – это адрес байта в странице, А20-А14 – это номер страницы, А32-А21 – это номер блока.

В ответ на этот запрос микросхема должна выдать запрошенный байт. При этом, если требуется считать несколько байт подряд, то достаточно просто продолжать считывать данные, не обновляя адрес. Микросхема автоматически увеличивает адрес на единицу при каждом чтении. Т.е. при использовании данной микросхемы выгодно читать данные сразу страницами (в нашем примере по 8192 байта).

Статьи к прочтению:

ПЗУ — Постоянное Запоминающее Устройство

mob_info